Intel stellt seine neue Generation der Intel 3-Chips vor: 18 % mehr Leistung

-

Die Herstellung neuer Prozessoren erfordert die Entwicklung neuer fortschrittlicher Fertigungsprozesse, die die Dichte erhöhen. Intel, einer der größten Prozessorhersteller der Welt, hat gerade das neue Verfahren angekündigt Intel 3. Dieses neue Herstellungsverfahren bietet eine Verbesserung 18 % Leistung im Vergleich zu Intel 4 dank einer Erhöhung der Dichte.

Derzeit sind Intel und TSMC mit Sitz in Taiwan die beiden größten Foundries der Welt. Intel stellte seine Chips bis vor Kurzem nur selbst her, bietet nun aber auch die Herstellung für Dritte an. TSMC hingegen produziert Chips unter anderem für AMD, NVIDIA, Apple, Qualcomm und Intel.

Vor einiger Zeit hat Intel den Namen seiner Knoten geändert, sodass der Name dem von TSMC ähnelt. Sie sollten wissen, dass beide Gießereien sehr unterschiedliche Prozesse und Designs verwenden. Jetzt hat Intel Daten zum neuen Intel-3-Prozess veröffentlicht.

Erhebliche Verbesserung der Intel 3-Prozessleistung

Derzeit nutzt Intel die Fertigungsverfahren Intel 7 und Intel 4 für die Produktion unterschiedlicher Chiptypen. Der neue Intel-3-Prozess wird diese Fertigungsknoten ersetzen, erstmals zu sehen sein wird er im Xeon 6700E „Sierra Forest“, der bereits auf der Computex 2024 zu sehen war.

Zu den interessantesten Merkmalen dieses neuen Knotens gehören die dichteren Designbibliotheken, der höhere Transistor-Ansteuerstrom und die stärkere Nutzung von EUV. Interessant ist, dass wir Variationen des Intel Node 3 haben werden, wie zum Beispiel das 3-T-, 3-E- und 3-PT-Design.

Das Unternehmen betont, dass in nur einem Jahr eine große Leistungssteigerung erreicht wird. Um dies zu erreichen, wurden in praktisch allen Prozessaspekten, vom Transistor bis zum Metallstapel, unterschiedliche Optimierungen vorgenommen. Die Dichtegewinne sind auf eine Reihe hochdichter Standardzellbibliotheken zurückzuführen.

Es war im Jahr 2021, als das Unternehmen die Entwicklung eines Fünf-Knoten-Prozesses in vier Jahren (5N4Y) mit recht aggressiven Meilensteinen vorstellte. Diese Roadmap konzentriert sich auf die Wiedererlangung der technischen Führung und den Nachweis einer konsistenten Umsetzung durch sorgfältige und maßvolle Risikobereitschaft.

Der Intel Process Node 3 umfasst vier Varianten, die schrittweise entworfen und entwickelt wurden, um Risiken zu reduzieren und eine konsistente Fertigung zu ermöglichen. Die Varianten sind:

  • Intel 3-T: bietet Silizium-Durchkontaktierungen (TSV) für 3D-Stacking-Anwendungen zur Integration mehrerer Computerkomponenten. Entwickelt für die Entwicklung von Chips mit Schwerpunkt auf Bildverarbeitung, Hochleistungsrechnen oder künstlicher Intelligenz.
  • Intel 3-E: Ein großes I/O-Array für externe Schnittstellen sowie Analog- und Mixed-Signal-Funktionen erweitern die Familie.
  • Intel 3-PT: Es vereint alle Fortschritte in einem einzigen Prozess und bietet noch mehr Leistungsverbesserungen sowie Benutzerfreundlichkeit für Designer. Gleichzeitig werden 9-um-TSVs mit feinerem Rastermaß und Hybrid-Bonding-Optionen für 3D mit noch höherer Dichte unterstützt. Es zeichnet sich durch eine einzigartige Kombination aus Leistung, Flexibilität und Kosten für eine Vielzahl von Anwendungen aus.

Intel-Design 3

Beachten Sie, dass der Intel Process 3 einen hochmodernen Intel Foundry-Knoten bietet, der darauf ausgelegt ist, einen langlebigen Knoten für Foundry-Kunden zu schaffen. Es wird eine kontinuierliche Weiterentwicklung der technologischen Funktionen und Leistungsverbesserungen bieten, um ein breites Spektrum an Design- und Produktanwendungen abzudecken.

-